EEJournal

专题文章
现在就订阅

Lattice与Avant一起跨入中档FPGA竞技场

Lattice Semiconductor正凭借其新的中档Avant FPGA平台向高端市场进军。过去几年里,莱迪思一直在从规模更大的FPGA竞争对手那里夺取低端市场份额,而这些竞争对手基本上都没有注意到这一点。如今,莱迪思决定在中端可编程逻辑器件领域也有类似的机会。我想现在还没有定论。我们需要等待,看看莱迪思的看法是否正确。与此同时,系统设计师可以欢迎中端市场的新玩家,Lattice将其定义为具有多达100K至500K逻辑元素的fpga。根据Lattice的说法,基于中档Avant FPGA平台的可编程逻辑器件将直接与Intel Arria和AMD/Xilinx Kintex中档FPGA竞争。

莱迪思计划使用其基于台积电16nm FinFET工艺技术的新Avant平台来生成几个中端FPGA系列。莱迪思三年前推出的Nexus平台基于三星的28纳米FD-SOI工艺。可以预期,使用Avant平台开发的fpga将比基于该公司早期Nexus平台的fpga速度更快,容量更大,并具有更多功能。

以下是Lattice宣布的中档Avant FPGA平台的一些显著特性:

  • 多达500K可编程逻辑元件,基于4输入lut。这是该公司早期Nexus平台逻辑单元容量的5倍。
  • 18×18-bit, DSP块,可分成两个或四个较小的单元
  • 25gbps SerDes端口
  • 支持PCIe Gen4 x8
  • 内置支持带有加固DDR内存接口的sdram,包括DDR4和DDR5
  • 强化后量子密码安全引擎

莱迪思提供了一些相对于竞争对手的性能数据;然而,该公司选择将其新的中档Avant fpga与Intel Arria V和AMD/Xilinx Kintex-7 fpga进行比较。当然,由于这是莱迪思的声明,Avant比竞争对手的设备速度更快,功耗更低,就逻辑单元容量而言,它们确实是中档设备。然而,Altera和Xilinx在2011年(十多年前)宣布了他们的Arria V和Kintex-7 FPGA家族,所以你甚至在看到数字之前就知道哪些部分将在这些比较中胜出。如果将Avant fpga以AMD/Xilinx的新版本和英特尔的竞争中端fpga(如Arria 10、Artix UltraScale+和Kintex UltraScale+ fpga)为基准,将会更好、更有信息量,也更合适。

重要的是要理解莱迪思的Avant是一个FPGA平台,而不是一个产品系列,就像莱迪思的Nexus平台不是一个FPGA系列一样。Lattice基于其Nexus平台的FPGA系列包括Certus-NX, CertusPro-NX, CrossLink-NX, Mach-NX和MachXO5-NX FPGA系列。Certus-NX和CertusPro-NX家族的最大逻辑单元数分别为39K和100K,是通用fpga。CrossLink-NX系列专门适用于视频应用,并集成了以视频为中心的接口,如MIPI D-PHY。Mach-NX和MachXO5-NX系列的逻辑单元数分别为8.4K和25K,并集成了用于存储fpga配置数据的片上闪存。对于许多低端应用程序来说,取消闪存配置芯片是一个真正的优势,因此这些Mach设备在设计上有一个不错的小市场。

莱迪思半导体计划从Avant平台上创建同样类型的家族扩展,该公司宣布了第一个基于Avant的FPGA家族,即Avant- e FPGA家族。该公告包括Avant-E FPGA家族的三个成员:200E、300E和500E,分别采用196K、306K和477K逻辑元件。这些器件除了逻辑单元外,还分别包含700、1120和1800个DSP块。与该公司的CrossLink-NX系列一样,Avant-E FPGA系列支持MIPI D-PHY接口,但没有一款Avant-E FPGA具有高速SerDes收发器。这三个Avant-E家族成员拥有高达36 Mbits的片上SRAM,并支持带有集成内存控制器的外部DDR4和LPDDR4 SDRAM。

Lattice对中端FPGA的市场研究揭示了对小尺寸器件的需求,因此Avant-E 200E FPGA采用11mm x 9mm的小尺寸封装。Avant-E FPGA家族的较大成员有12mm x 12mm和15mm x 13mm封装。还提供具有更多I/O引脚的更大的封装。Lattice已经在制造Avant-E FPGA家族中最大的成员500E,并且已经为早期访问客户提供了几个月的评估板。评估板现在已经广泛使用。这是那块板子的照片:

Avant-E FPGA评估板

简单地向FPGA市场发布部件并期望它们赢得插座已经不可能了。现在,成功的组合需要开发工具支持、广泛的IP库、参考设计和软件堆栈。Lattice已经升级了其Propel设计环境和现有的开发工具,以支持Avant-E FPGA家族。因此,现有的Lattice客户应该对基于Avant平台的设备开发工具非常熟悉。

由于Avant-E fpga的可用逻辑元素数量增加了5倍,因此大型IP块变得更加重要。该公司表示,已经签署了一份长长的、不断增长的IP供应商名单,以支持其Avant-E fpga。

在Avant-E FPGA家族中,有一件事似乎明显缺失。这些设备都没有包含一个强化的微处理器子系统。英特尔和AMD/Xilinx都已经提供了带有强化微处理器子系统的fpga十年了,原因很简单:几乎所有基于fpga的系统都包含一个或多个微处理器。如果FPGA不包含微处理器,则必须从外部添加。在过去,微处理器内核的选择都是基于Arm内核,除了Xilinx的Virtex-II Pro家族上的PowerPC处理器,但现在,基于RISC-V ISA的内核看起来也是一个很好的选择,因为ISA在全球范围内的势头和社区的支持。

我特别向Lattice询问了基于Avant平台的fpga计划功能列表中缺少处理器核心的问题。该公司的回应是,客户可以使用FPGA结构中的一些逻辑单元来添加他们选择的微处理器核心。当然,这是一个真实的陈述。莱迪思的IP库中有两个RISC-V处理器核心。尽管英特尔和AMD/Xilinx在他们的许多设备上都提供了加固的微处理器子系统,但两家公司都继续提供软核RISC处理器IP(分别是Nios和MicroBlaze),而且许多设计确实采用了这些软核。英特尔最近在其基于RISC-V ISA的IP库中添加了Nios-V软核。

所以从技术上讲,莱迪思是正确的。希望在FPGA上安装处理器的客户可以使用软核添加处理器。然而,在我看来,这样做是对逻辑单元的巨大浪费。在相同的FPGA上,软处理器核心比硬处理器核心消耗更多的硅,运行速度要慢得多。如果使用一两个微处理器在基于fpga的系统中是罕见的,那么莱迪思的立场对我来说是有意义的。在我看来,为高可靠性和安全相关的应用提供一对加固的片上微处理器内核更有意义。然而,市场最终会对莱迪思Avant平台的这方面做出判断,而不是我的意见。

当然,这一疏忽对莱迪思来说并不是致命的一步。没有什么能阻止该公司在未来增加一个基于avant的fpga家族,该家族具有硬化的处理器核心。该公司随公告发布的幻灯片显示,计划在2023年推出另外两个基于avant的FPGA系列,并在随后几年推出更多系列。如果有这样的需求,我相信莱迪思至少会在未来的Avant FPGA系列中加入强化处理器内核。或许是Avant-P ?

此外,Lattice还为其先前的FPGA系列开发了几个主要的特定应用软件堆栈和解决方案,该公司正在扩展到基于Avant平台的FPGA系列。这些软件包括:

  • Lattice SensAI用于基于fpga的机器学习和人工智能应用
  • Lattice Sentry用于开发符合NIST sp800 -193标准,基于fpga的平台固件弹性(PFR)信任根
  • Lattice mVision用于嵌入式视觉应用,包括机器视觉、机器人、ADAS、视频监控和无人机
  • Lattice automation适用于工业自动化应用,包括CNC、机器人、可扩展的多通道电机控制与预测维护、实时工业网络和本地到云网络
  • Lattice ORAN用于使用fpga的5G-ORAN应用加速

很高兴欢迎另一位值得尊敬的角斗士来到中档FPGA竞技场。今天的中端fpga比20年前的高端设备更强大。这是一个竞争激烈的领域,因为竞争设备在价格和性能上都具有优势。竞争磨练了所有玩家的技能,Lattice凭借中档Avant平台进入这个竞技场,一定会吸引潜在客户的注意力。它也肯定会引起英特尔和AMD/Xilinx的注意。让游戏开始吧。

关于“Lattice与Avant一起跨入中端FPGA竞技场”的10个想法

  1. 是的,当然,RISCV是未来!真傻!软核CPU?呸!

    不管你喜不喜欢,加载/存储和芯片外存储器永远不会有足够好的性能DDR4, DDR5, DDR/Infinity,或者其他什么。

    所以这些家伙为一些新的东西敞开了大门...........如果设计工具和设计方法是基于设计而不是综合呢?从第一天开始,或者也许是第二天,程序员可以设计逻辑一直是一个梦想。大约50年后,我们有了HDL, System Verilog, VHDL和SystemC。让我们加上RISC(V)和所有其他孩子!

    c#最近添加了条件赋值,所以有一个完整的设计、调试、API、IDE、AST和SyntaxWalker都是开源的,只是在等待这个机会。

    再见............

    1. 随你怎么嘲笑吧,卡尔。有时候,你只需要一个处理器。我自己是一名硬件设计师,但我并不是设计运行GUI或以太网协议栈的硬件。这些任务已经完成、调试和封存。在微处理器核心或芯片上运行这类程序效率更高。Arm有成千上万的客户,特别是当你考虑到使用基于Arm的微控制器的人数时,RISC-V International迄今为止有超过3000个成员。当然,这些人可能都是妄想,但他们也构成了一个具有坚实商业潜力的诱人市场。经过50年的商业成功,我确实认为这些微处理器可能会流行起来。但是,需要明确的是,没有人说您必须使用处理器。

    2. 从第一天开始,或者也许是第二天,程序员可以设计逻辑一直是一个梦想。大约50年后,我们有了HDL, System Verilog, VHDL和SystemC。让我们加上RISC(V)和所有其他孩子!

      是的。把它留给程序员去做实体的东西。VHDL和Verilog——多么“伟大”的工具。首先,你骗别人写一段代码来描述电路,然后你必须有多种工具来把它还原成现实中可能工作(或不工作)的东西。

  2. 你一直在试图舔莱迪思公司的人的屁眼,却回避了这些问题:

    *他们喜欢谈论物联网和边缘应用,但我们什么时候才能看到真正的Lattice FPGA,可以做PCIe4 ?
    *我们什么时候能在运行时看到部分自重构,就像Xilinx可以用他们的ICAP机制做的那样。在低端和中端,人们必须能够使用任何资产,这将是伟大的- fpga本质上是膨胀的。为什么不能在“运行时”使用重新/配置逻辑来做一些有用的事情呢?
    *他们对开源的承诺发生了什么?他们什么时候才会向Yosys和类似的项目开放文档
    *为什么他们有如此法西斯的定价政策和如此封闭的IDE免费选项?有多少人愿意每年支付2000美元以上的许可证,这样他们就可以配置这些东西了?
    *如果我没记错的话,一些Avant架构将会渗透到MachXo(5?)系列中。我们能在这些东西中看到真正有用的机载用户FLASH吗?有32总线的东西,可以用于软cpu等代码?
    *新系列的大部分精彩内容将在2023年和2024年推出。嗯,竞争很有效。20年后这还会有多酷?

  3. 哦,我还有一个问题:

    如果Lattice采用纯FPGA方法(没有硬cpu),为什么没有更多的优化来实现更高效的软cpu实现呢?为什么octa-SPI是一个我们在未来某个时候还没有看到的选项?对于这样的核心来说,这将是一个很好的选择-一个具有双重用途的FLASH:既可以快速配置,又可以有效地执行softCPU的启动代码。

    IT也会很高兴看到一些逻辑块可以用于实现软cpu或类似的状态机(寄存器集的实现,ALU路径等)。

    据我所知,Avant只是Certus,只是针对更新的流程节点进行了调整。

  4. 布雷恩先生,如果一篇批评莱迪思的文章是在拍公司的马屁,那么是的,我被指控有罪。你所有勇敢而有效的问题都应该针对莱迪思,而不是针对在线出版物上的一篇文章。我们报道新闻,而不是制造新闻。莱迪思不会就未来产品向我征求意见,这可能会让你感到震惊。我只报道他们做了什么,我尽量做到实事求是。显然,您的应用程序需要一些真正的特性,而这些特性是Lattice产品所不能满足的。

    至于你的最后一个问题,为什么没有更多优化的软cpu用于FPGA,答案是FPGA公司很乐意为你提供服务。有一个问题:没有这样的东西。在相同的工艺技术下,任何IP核的FPGA实现在所有三个关键维度(功率、性能、面积)上都比标准单元asic差了大约10倍。对于RISC处理器来说尤其如此,它们的大型多端口寄存器文件非常混乱和拥挤,并且很难以任何紧凑的形式路由。

    为了弥补这些缺点,fpga提供了现场可编程性。对于像CPU这样的标准IP核(您希望在其中运行标准ISA),现场可编程性提供的优势很少,除了您不被锁定在一个CPU架构中。想尝尝Arm的味道吗?太好了。想要RISC-V吗?太好了。想要一个LEON(用于太空应用的SPARC处理器)?太好了。想把它们都放在一台设备上?当然,为什么不呢? If you really want a fast CPU with ASIC-like speeds, then a hard core is indeed what you want.

    1. 我们报道新闻,而不是制造新闻。

      你的文章显然不仅仅是“报道新闻”。如果你想发表自己的看法,不妨问一些合理的问题。
      至于你的最后一个问题,为什么没有更多优化的软cpu用于FPGA,答案是FPGA公司很乐意为你提供服务。

      这不是我的问题。我在问,既然他们选择了纯FPGA,为什么他们没有优化FPGA开关矩阵的某些部分,以便能够有效地实现软cpu或类似的复杂状态机。

      在相同的工艺技术下,任何IP核的FPGA实现在所有三个关键维度(功率、性能、面积)上都大约比标准单元asic差10倍。

      我知道,因此我的问题- FPGA是“高膨胀”的定义。大部分都在开关矩阵中。所以,为什么他们没有做一些优化,甚至可能是特殊的硬化块,以缓解u和加速这种“半软”实现。

  5. 你要找的特殊优化,布雷恩先生,叫做强化微处理器核心。它们在硬化后非常小,而且效果非常好。你应该试试。

    1. 你正在寻找的特殊优化,布雷恩先生,叫做强化微处理器核心。它们在硬化后非常小,而且效果非常好。你应该试试。

      没有。没有使用搜索硬化的CPU疼痛在FPGA,没有,正如我所说的。我正在寻找专门的连接结构和强化的“宏”,可以连接到一个比经典FPGA元素带来的开销小得多的宏。这将带来一些东西,我可以看到作为一个重大创新,晶格声称为新的先锋系列……

留下回复

有特色的博客
2023年1月4日
2023年,三项关键技术将改变电子设计,包括基于云的EDA工具、多模系统和硅生命周期管理(SLM)。文章《2023年将改变电子设计的3项关键技术》首次出现在《从硅到软件》....上
2022年12月28日
我不得不说,其中许多机械运动对我来说都是全新的,从第一个开始,我现在知道它是施密特耦合....
2022年12月20日
作者:James Paris和Armen Asatryan在实现过程中自动进行简短检查,让设计团队快速找到并修复这些错误... ...

有特色的视频

演示:PCIe Gen5 x16运行在VectorPath加速卡上

Achronix

Achronix工程师展示了如何使用Speedster7t FPGA将VectorPath加速卡连接到PCIe Gen5 x16主机,并通过全芯片二维片上网络(NoC)读取/写入GDDR6内存。NoC将数据从任何高速接口以2GHz的速度路由到FPGA内部的核心结构,而不消耗任何FPGA逻辑资源。

点击这里观看更多来自Achronix的视频

特色粉笔谈话亚博里的电子竞技

分立元件的功率多路复用

逮老鼠的电子产品而且东芝

功率多路复用是当今各种不同应用的重要设计需求。在本集粉笔谈话中,Amelia Dal亚博里的电子竞技ton与来自东芝的Talayeh Saderi聊天,讨论什么样的电源多路复用解决方案最适合您的下一个设计。他们讨论了五种独特的设计考虑因素,当涉及到功率多路复用时,我们应该考虑高侧门驱动器为功率多路复用带来的好处。

点击这里了解更多关于5-24V线路电源MUX的东芝栅极驱动器+ MOSFET的信息

Baidu