EEJournal

半导体
现在就订阅

呼唤所有的小芯片!Eliyan和终极芯片互联

我这周的播客都是关于小字,小字互连以及一串电线(BoW)芯片互连架构!我的客人来自Eliyan,他在芯片互连技术方面处于领先地位。Ramin Farjadrad(联合创始人兼首席执行官),Patrick Soheili(联合创始人兼商业和企业发展主管)和Syrus Ziai联合创始人兼工程副总裁)……阅读更多→“呼唤所有的小人儿!”Eliyan和终极芯片互联

英特尔推出两个单片Agilex FPGA和SoC系列,第2部分:Sundance Mesa现在是Agilex 5 e系列

去年9月,我在EEJournal.com上发表了一篇文章,描述了两种新的Intel Agilex FPGA和SoC器件系列,即Agilex 5 d系列和去年Intel创新大会上推出的尚未命名的“Sundance Mesa”系列。(参见“英特尔介绍两个单片Agilex FPGA和SoC家族,第1部分阅读更多→英特尔推出两个单片Agilex FPGA和SoC系列,第2部分:Sundance Mesa现在是Agilex 5 e系列

利用光和重新定义什么是可能的:光智能和光学计算的兴起

本周的Fish Fry播亚搏体亚搏客都是关于光子学和光计算的未来!Lightelligence的Maurice (Mo) Steinman和我聊了聊为什么Lightelligence要成为硅光子计算领域的领导者,PACE (Lightelligence的第一个完全集成光学计算平台)的细节,以及这个计算平台与传统硅芯片的区别。

...阅读更多→利用光和重新定义什么是可能的:光智能和光学计算的兴起

2023年2月2日
2023年2月1日
2023年1月31日
2023年1月26日
2023年1月25日
2023年1月23日
2023年1月17日
2023年1月12日
2023年1月11日
2023年1月10日
2023年1月2日
2022年12月19日
2022年12月15日
2022年12月13日
2022年12月12日
2022年12月9日
2022年12月8日
2022年12月7日
2022年12月6日
有特色的博客
2023年2月6日
Cadence帮助航运业有效地实现船舶合同航速,同时尊重2023年实施的新环境法规。为了实现这一点,自推进模拟是至关重要的,必须提供螺旋桨推力、扭矩和性能的准确信息。
2023年2月2日
我们分享了对2023年高性能计算(HPC)的预测,包括边缘计算解决方案的增长以及人工智能和机器学习的兴起。文章“2023年五大高性能计算趋势”首先出现在“从硅到软件”....上
2023年1月30日
聪明地工作,而不是更努力地工作。大家不都是这么跟你说的吗?当然,这是很好的建议,... ...
2023年1月19日
你是否在调整表带或更换手表电池时遇到了问题?如果是这样,我是好消息的携带者....
亚博里的电子竞技粉笔会谈
自动基准调优-概要 基准测试是衡量计算资源性能的好方法,但基准调优可能是一个非常复杂的问题。在这集粉笔谈话中,来自Synopsys亚博里的电子竞技和Amelia Dalton的Nozar Nozarian调查Synopsys的优化器工作室,该工作室将进化搜索算法与强大的用户界面结合在一起,可以……阅读更多→自动基准调优-简介
芯片末日:正在发生什么,为什么会发生,什么时候会结束- Digi & Mouser Electronics 半导体是我们设计生活中不可或缺的一部分,但供应链问题继续困扰着我们的设计过程。在这期Chalk Talk节目中,来自亚博里的电子竞技DIGI的Ronald Singh和Amelia Dalton调查了当今半导体供应链困境背后的各种原因。他们还仔细研究了模块上的系统方法……阅读更多→“芯片末日:正在发生什么,为什么会发生,什么时候会结束- Digi & Mouser Electronics”
使用Cadence Cloud - Cadence在电子设计中实现数字转换 随着设计规模的增加、高级节点的复杂性以及更快的上市时间需求,设计团队正在寻找可扩展性、简单性、灵活性和敏捷性。在今天的Chalk T亚博里的电子竞技alk中,Amelia Dalton与来自Cadence Design Systems的Mahesh Turaga聊天,讨论Cadence端到端云投资组合的细节,如何扩展您的…阅读更多→“用Cadence Cloud实现电子设计的数字化转型- Cadence”
更快,更可预测的路径多芯片设计闭包-节奏设计系统 3D IC设计的挑战比标准芯片设计更大,但并非不可克服。在Chalk Talk的这一集中,Am亚博里的电子竞技elia Dalton与来自Cadence Design Systems的Vinay Patwardhan聊天,讨论了3D IC设计师今天面临的各种挑战,以及Cadence的集成,高容量完整性3D IC平台如何…阅读更多→更快,更可预测的多芯片设计闭合路径-节奏设计系统
用于高性能asic、soc和xpu的“可扩展电源交付”-英飞凌 今天的人工智能和网络应用正在推动计算能力的指数级增长。当谈到使用下一代芯片组的这类应用的伸缩能力时,我们需要牢记封装尺寸限制、动态电流平衡和输出电容。在这一期的粉笔谈话中,来自英飞凌的Ma亚博里的电子竞技rk Rodrigues加入…阅读更多→面向高性能asic、soc和xpu的“可扩展电源传输”-英飞凌
SiliconMAX SLM扩展到现场- Synopsys 为了跟上当今电子设计的严格节奏,我们必须了解IC设计生命周期的每一步,包括调试、启动和现场操作。在这一集的粉笔谈话,Amelia Da亚博里的电子竞技lton聊天与史蒂夫帕特拉斯从Synopsys关于硅生命周期管理的现场基础设施,…阅读更多→将SiliconMAX SLM扩展到现场-简介
Baidu